FPGA点亮第一个流水灯

网友投稿 564 2022-11-27

FPGA点亮第一个流水灯

FPGA点亮第一个流水灯

经历了51点灯,32点灯,树莓派点灯后,小du同学迎来了FPGA点灯,

FPGA流水灯

1、新建工程略过,注意使用的板子是AX301开发板,引脚数256,芯片速度等级8

可用驱动为EP4CE6F17C8

2、新建文件

new–verilog HDL File

为方便拷贝程序led_teest.v

修改实体名称

设置

不用的引脚设置成输入三态

多功能引脚设置成普通I/O

电压换成板子默认的3.3TTL

3、引脚绑定

assignments—>pin planner显示下图

此处是引脚,

绑定方法

(1)左键拖动引脚到2处,在location处可看见对应的位置

例如下图的时钟,将该引脚拖动到E1处下降沿时钟。

(2)直接添加位置例如pin_D9,D9表示D行第9列

6、-打开-界面programmer

果不其然,没有驱动,又要装驱动了

​​快速安装方法​​

结果很是优秀

然后咱们继续

progarmmer—hardware setup

.sof文件-到FPGA中会正常运行,但是断电后FPGA的程序就会消失。

由于其断电后会消失,所以要将其写入flash,可以通过改变-文件实现

File—>convert programming file

版权声明:本文内容由网络用户投稿,版权归原作者所有,本站不拥有其著作权,亦不承担相应法律责任。如果您发现本站中有涉嫌抄袭或描述失实的内容,请联系我们jiasou666@gmail.com 处理,核实后本网站将在24小时内删除侵权内容。

上一篇:论文笔记二:OpenPose(Realtime Multi-Person 2D Pose Estimation using Part Affinity Fields部分
下一篇:STM32串口TTL和RS-232
相关文章

 发表评论

暂时没有评论,来抢沙发吧~